您的位置:首页 > 科技 > IT业 > 河南省人民政府网官网_吉林百度seo_平台如何做推广_网站如何做优化排名

河南省人民政府网官网_吉林百度seo_平台如何做推广_网站如何做优化排名

2024/10/11 1:08:39 来源:https://blog.csdn.net/qq_44629558/article/details/142618289  浏览:    关键词:河南省人民政府网官网_吉林百度seo_平台如何做推广_网站如何做优化排名
河南省人民政府网官网_吉林百度seo_平台如何做推广_网站如何做优化排名

十六进制计数器

描述

请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1

电路的接口如下图所示。Q[3:0]中,Q[3]是高位

接口电路图如下:

输入描述:

   input                clk   ,
   input                rst_n ,

输出描述:

   output   reg  [3:0]  Q   

代码如下

`timescale 1ns/1nsmodule counter_16(input                clk   ,input                rst_n ,output   reg  [3:0]  Q      
);
always @(posedge clk or negedge rst_n) beginif (!rst_n)   Q[3:0] = 4'b0000;else    Q[3:0] = Q[3:0] + 4'd1;
end
endmodule

 PS:该题还挺简单的。。。。。。

版权声明:

本网仅为发布的内容提供存储空间,不对发表、转载的内容提供任何形式的保证。凡本网注明“来源:XXX网络”的作品,均转载自其它媒体,著作权归作者所有,商业转载请联系作者获得授权,非商业转载请注明出处。

我们尊重并感谢每一位作者,均已注明文章来源和作者。如因作品内容、版权或其它问题,请及时与我们联系,联系邮箱:809451989@qq.com,投稿邮箱:809451989@qq.com