您的位置:首页 > 游戏 > 游戏 > DONT_TOUCH

DONT_TOUCH

2024/10/6 4:01:59 来源:https://blog.csdn.net/cckkppll/article/details/140307384  浏览:    关键词:DONT_TOUCH

DONT_TOUCH
DONT_TOUCH指示工具不优化用户层次结构、实例化组件或
信号,以便优化不会跨模块边界发生,或者消除
对象虽然这可以帮助进行布图规划、分析和调试,但它可以抑制
优化,导致更大、更慢的设计。
重要提示:Xilinx建议在RTL源文件中设置此属性。需要的信号
keep经常在读取XDC文件之前进行优化。因此,在RTL中设置此属性可确保
使用该属性。
DONT_TOUCH属性的工作方式与KEEP或KEEP_HIERARCHY相同;然而
与KEEP和KEEP_HIERARCHY不同,DONT_TOUCH被前向注释为位置和路线
以防止实现过程中的逻辑优化。DONT_TOUCH对的影响
各种对象如下:
•基本实例:不要删除该实例。但是,该工具可以连接或
断开实例的引脚。
•分层实例:不要删除实例或添加或删除的任何引脚
例子该工具可以连接或断开引脚,并优化内部的逻辑
hiearchical模块。但是,优化不能将逻辑移入或移出
分层模块。这是对实例的层次边界的约束。
提示:使用DONT_TOUCH应用程序注册层次实例的所有输出。
•分层网络:不要移除网络,也不要连接或断开网络上的任何引脚。
提示:在分层网络上,DONT_TOUCH将只保留它所连接的分层段,
因此,您需要将其附加到要保留的所有线段上。
在模块或实体的各个端口中不支持DONT_TOUCH。如果需要
保留将DONT_TOUCH放在模块本身上的特定端口,或者使用以下Vivado
合成设置:
flatten_hierarchy=“无”
使用DONT_TOUCH、KEEP或KEEP_HIERARCHY时要小心。在其他情况下
属性与DONT_TOUCH冲突时,DONT_TOUCH属性优先。
适用对象
•此属性可以放置在任何信号、层次模块或基元实例上。
°单元格(get_Cells)
°网络(get_Nets)
价值观
•FALSE:允许跨层次结构进行优化。这是默认设置。
•TRUE:通过不允许跨层次结构进行优化来保留层次结构
边界保留实例化的组件或网络以防止其
优化出了设计。

Syntax
Verilog Syntax
Place the Verilog attribute immediately before the user hierarchy instantiation:
(* DONT_TOUCH = "{TRUE|FALSE}" *)
Verilog Syntax Example
// Preserve the hierarchy of instance CLK1_rst_sync
(* DONT_TOUCH = "TRUE" *) reset_sync #(
.STAGES(5)
) CLK1_rst_sync (
.RST_IN(RST | ~LOCKED),
.CLK(clk1_100mhz),
.RST_OUT(rst_clk1)
);
Wire Example
(* dont_touch = "true" *) wire sig1;
assign sig1 = in1 & in2;
assign out1 = sig1 & in2;
Module Example
(* DONT_TOUCH = "true|yes" *)
module example_dt_ver
(clk,
In1,
In2,
out1);
Instance Example
(* DONT_TOUCH = "true|yes" *) example_dt_ver U0
(.clk(clk),
.in1(a),
.in2(b),
out1(c));
VHDL Syntax
Declare the VHDL attribute as follows:
attribute DONT_TOUCH : string;
Specify the VHDL attribute as follows:
attribute DONT_TOUCH of name: label is "{TRUE|FALSE}";
Where
name is the instance name of a user defined instance.
VHDL Syntax Example
attribute DONT_TOUCH : string;
-- Preserve the hierarchy of instance CLK1_rst_sync
attribute DONT_TOUCH of CLK1_rst_sync: label is "TRUE";
CLK1_rst_sync : reset_sync
PORT MAP (
RST_IN => RST_LOCKED,
CLK => clk1_100mhz,
RST_OUT => rst_clk1
);
XDC Syntax
set_property DONT_TOUCH {TRUE|FALSE} [get_cells < instance_name >]
set_property DONT_TOUCH {TRUE|FALSE} [get_nets < net_name >]
Where:
instance_name is a leaf cell or hierarchical cell.
net_name is the name of a hierarchical net.
XDC Syntax Example
# Preserve the hierarchy of instance CLK1_rst_sync
set_property DONT_TOUCH TRUE [get_cells CLK1_rst_sync]
# Preserve all segments of the hierarchical net named by the Tcl variables
set_property DONT_TOUCH [get_nets -segments $hier_net]

版权声明:

本网仅为发布的内容提供存储空间,不对发表、转载的内容提供任何形式的保证。凡本网注明“来源:XXX网络”的作品,均转载自其它媒体,著作权归作者所有,商业转载请联系作者获得授权,非商业转载请注明出处。

我们尊重并感谢每一位作者,均已注明文章来源和作者。如因作品内容、版权或其它问题,请及时与我们联系,联系邮箱:809451989@qq.com,投稿邮箱:809451989@qq.com