您的位置:首页 > 汽车 > 新车 > vcs+verdi搭建基础仿真的版本V1

vcs+verdi搭建基础仿真的版本V1

2024/10/21 4:05:51 来源:https://blog.csdn.net/qq_36683398/article/details/140579742  浏览:    关键词:vcs+verdi搭建基础仿真的版本V1

2024-7-20
数字软件安装,仿真环境测试
dut重新修改
makefile重新修改
verdi整合完成

dut.v

module dut ( );reg clk;initial beginclk =0;forever begin#10   clk = ~clk;endendinitial begin$fsdbDumpfile("verilog.fsdb");$fsdbDumpvars();$vcdpluson;$display("fsdbDumpfilrs is start at %d",$time);#1e9;$finish;
end
endmodule

makefile

all:comp run
comp:vcs -full64 +v2k -sverilog   dut.v \-timescale=1ns/1ps \-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab \-debug_acc+all
run:./simv
verdi:verdi -full64 -sv  dut.v -ssf verilog.fsdb -nologo&
clean:rm -rf simv*rm -rf *.vpdrm -rf *.keyrm -rf csrc

仿真结果

在这里插入图片描述

版权声明:

本网仅为发布的内容提供存储空间,不对发表、转载的内容提供任何形式的保证。凡本网注明“来源:XXX网络”的作品,均转载自其它媒体,著作权归作者所有,商业转载请联系作者获得授权,非商业转载请注明出处。

我们尊重并感谢每一位作者,均已注明文章来源和作者。如因作品内容、版权或其它问题,请及时与我们联系,联系邮箱:809451989@qq.com,投稿邮箱:809451989@qq.com