您的位置:首页 > 健康 > 养生 > seo优化是指通过研究搜索引擎排名规则_怎么做网页啊_站外seo是什么_中国广告网

seo优化是指通过研究搜索引擎排名规则_怎么做网页啊_站外seo是什么_中国广告网

2024/10/5 20:25:51 来源:https://blog.csdn.net/qq_44629558/article/details/142577917  浏览:    关键词:seo优化是指通过研究搜索引擎排名规则_怎么做网页啊_站外seo是什么_中国广告网
seo优化是指通过研究搜索引擎排名规则_怎么做网页啊_站外seo是什么_中国广告网

并串转换

描述

题目描述:    

设计一个模块进行并串转换,要求每四位d输为转到一位dout输出,输出valid_in表示此时的输入有效。

信号示意图:

clk为时钟

rst为低电平复位

valid_in 表示输入有效

d 信号输入

dout 信号输出

波形示意图:

输入描述:

clk为时钟
rst为低电平复位
d 信号输入

输出描述:

dout 信号输出
valid_in 表示输入有效

 解题思路

并串转换的思路一般是使用移位寄存器的思想——根据并行数据的位数n对应设置n个寄存器,将并行数据依次存入移存器中,并在每个时钟周期下进行移动;

根据题干中波形图的描述(如红色方框所示):

 可以发现,valid_in信号通常在第4个周期为1(有效),在其他三个周期为0;

问题:我感觉这个d[3:0]的数据和dout对不上,看着很奇怪;

设计思路:

①计数器模块

设置一个计数器,循环计数0~3

reg [1:0] cnt;
//计数器cnt部分
/*注意: 此处必须为非阻塞赋值语句*/
always @(posedge clk or negedge rst) beginif (!rst) cnt <= 2'b00;else cnt <= cnt + 2'b01;
end
②移存器部分
//移位寄存器部分
always @(posedge clk or negedge rst) beginif (!rst) begindout_r <= 4'd0;valid_in_r <= 1'b0;endelse beginif (cnt == 2'b11) begin dout_r <= d;  valid_in_r <= 1'b1; endelse begin dout_r[3:0] <= {dout_r[2],dout_r[1],dout_r[0],dout_r[3]}; valid_in_r <= 1'b0; endend
endassign dout = dout_r[3];
assign valid_in = valid_in_r;

完整代码

`timescale 1ns/1ns
module huawei5(input wire clk  ,input wire rst  ,input wire [3:0]d ,output wire valid_in ,output wire dout);//*************code***********//
reg valid_in_r;
reg [3:0] dout_r;reg [1:0] cnt;
//计数器cnt部分
/*注意: 此处必须为非阻塞赋值语句*/
always @(posedge clk or negedge rst) beginif (!rst) cnt <= 2'b00;else cnt <= cnt + 2'b01;
end
//移位寄存器部分
always @(posedge clk or negedge rst) beginif (!rst) begindout_r <= 4'd0;valid_in_r <= 1'b0;endelse beginif (cnt == 2'b11) begin dout_r <= d;  valid_in_r <= 1'b1; endelse begin dout_r[3:0] <= {dout_r[2],dout_r[1],dout_r[0],dout_r[3]}; valid_in_r <= 1'b0; endend
endassign dout = dout_r[3];
assign valid_in = valid_in_r;//*************code***********//endmodule

版权声明:

本网仅为发布的内容提供存储空间,不对发表、转载的内容提供任何形式的保证。凡本网注明“来源:XXX网络”的作品,均转载自其它媒体,著作权归作者所有,商业转载请联系作者获得授权,非商业转载请注明出处。

我们尊重并感谢每一位作者,均已注明文章来源和作者。如因作品内容、版权或其它问题,请及时与我们联系,联系邮箱:809451989@qq.com,投稿邮箱:809451989@qq.com