您的位置:首页 > 健康 > 养生 > 30.ROM-IP核的调用

30.ROM-IP核的调用

2024/10/6 18:27:10 来源:https://blog.csdn.net/2301_80417284/article/details/140359966  浏览:    关键词:30.ROM-IP核的调用

(1)ROM IP核简介:

        ROM是只读存储器,是一种只能读出事先锁存的固态半导体存储器。其特性是一旦存储资料就无法再将之改变或删除,并且资料也不会因为电源关闭而消失。(掉电不丢失)

        FPGA使用内部RAM实现ROM、RAM ip核的功能,Xilinx使用coe文件填充ROM和RAM。

(2)ROM的配置:

ROM关键词:block

PLL关键词:clk

  • 配置单端口rom流程:

初始文件coe文件格式如下:

  • 配置双端口rom流程:

(3)ROM的调用:单端口ROM为例

module rom(clk,add,dout);input clk;input [7:0]add;output [7:0]dout;rom_8x256 rom_8x256_inst(.clka(clk),    // input wire clka.addra(add),  // input wire [7 : 0] addra.douta(dout)  // output wire [7 : 0] douta
);endmodule

(4)仿真文件代码:

`timescale 1ns / 1psmodule rom_tb;reg          clk ;reg       reset_n;reg     [7:0]add ;wire    [7:0]dout;rom rom_inst(.clk    (clk ),.add    (add ),.dout   (dout));initial clk = 1'b1;always #10 clk = ~clk;initial beginreset_n <= 1'd0;#15;reset_n <= 1'd1;#50000;$stop;endalways@(posedge clk or negedge reset_n)if(!reset_n)add <= 8'd0;else if(add == 8'd255)add <= 8'd0;else add <= add + 8'd1;endmodule

(5)仿真波形:

版权声明:

本网仅为发布的内容提供存储空间,不对发表、转载的内容提供任何形式的保证。凡本网注明“来源:XXX网络”的作品,均转载自其它媒体,著作权归作者所有,商业转载请联系作者获得授权,非商业转载请注明出处。

我们尊重并感谢每一位作者,均已注明文章来源和作者。如因作品内容、版权或其它问题,请及时与我们联系,联系邮箱:809451989@qq.com,投稿邮箱:809451989@qq.com